data: - - Nk2: 20736 analytic_conductor: 41.39444840783175 analytic_rank: 0 analytic_rank_proved: true char_conductor: 24 char_degree: 1 char_is_minimal: true char_is_real: true char_orbit_index: 6 char_orbit_label: f char_order: 2 char_parity: 1 char_values: - 5184 - 2 - - 2431 - 325 - 1217 - - 1 - 1 - 1 cm_discs: [] conrey_index: 2591 conrey_indexes: - 2591 dim: 16 field_disc: 33418400425706520576 field_disc_factorization: - - 2 - 32 - - 3 - 12 - - 11 - 4 field_poly: - 1 - 0 - -8 - 0 - 49 - 0 - -104 - 0 - 160 - 0 - -104 - 0 - 49 - 0 - -8 - 0 - 1 field_poly_is_cyclotomic: false field_poly_is_real_cyclotomic: false field_poly_root_of_unity: 0 has_non_self_twist: 1 hecke_cutters: - - 5 - - 9 - 0 - -216 - 0 - 138 - 0 - -24 - 0 - 1 - - 19 - - 36 - 36 - -6 - -6 - 1 hecke_orbit: 5 hecke_orbit_code: 18014742140425280 hecke_ring_generator_nbound: 37 hecke_ring_index: 2985984 hecke_ring_index_factorization: - - 2 - 12 - - 3 - 6 hecke_ring_index_proved: true id: 208321 inner_twist_count: 4 inner_twists: - - 1 - 1 - 1 - 1 - 1 - 1 - 1 - - 1 - 1 - 8 - 4 - -1 - 2 - 0 - - 1 - 1 - 3 - 2 - -1 - 2 - 0 - - 1 - 1 - 24 - 6 - 1 - 2 - 0 is_cm: false is_polredabs: true is_rm: false is_self_dual: false is_self_twist: false is_twist_minimal: true label: 5184.2.f.e level: 5184 level_is_prime: false level_is_prime_power: false level_is_square: true level_is_squarefree: false level_primes: - 2 - 3 level_radical: 6 minimal_twist: 5184.2.f.b prim_orbit_index: 6 qexp_display: q-\beta _{3}q^{5}+(\beta _{9}+\beta _{11})q^{7}+\beta _{14}q^{11}+\cdots related_objects: [] relative_dim: 16 rm_discs: [] sato_tate_group: 1.2.3.c2 self_twist_discs: [] self_twist_type: 0 space_label: 5184.2.f trace_display: - 0 - 0 - 0 - 0 trace_hash: 2121380747438965320 trace_moments: - __RealLiteral__: 0 data: '0.110' prec: 17 - __RealLiteral__: 0 data: '13.757' prec: 20 - __RealLiteral__: 0 data: '0.000' prec: 17 - __RealLiteral__: 0 data: '2277.527' prec: 27 - __RealLiteral__: 0 data: '0.000' prec: 17 - __RealLiteral__: 0 data: '593221.399' prec: 34 trace_zratio: __RealLiteral__: 0 data: '0.762' prec: 17 traces: - 16 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 24 - 0 - 0 - 0 - 0 - 0 - 16 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 24 - 0 - 0 - 0 - 0 - 0 - -48 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 120 - 0 - 0 - 0 - 0 - 0 - 16 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 168 - 0 - 0 - 0 - 0 - 0 - -16 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 168 - 0 - 0 - 0 - 0 - 0 - 32 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 96 - 0 - 0 - 0 - 0 - 0 - -96 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 48 - 0 - 0 - 0 - 0 - 0 - 16 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 168 - 0 - 0 - 0 - 0 - 0 - 80 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 216 - 0 - 0 - 0 - 0 - 0 - 64 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 192 - 0 - 0 - 0 - 0 - 0 - -80 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 168 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 48 - 0 - 0 - 0 - 0 - 0 - -16 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 48 - 0 - 0 - 0 - 0 - 0 - -32 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 168 - 0 - 0 - 0 - 0 - 0 - 32 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 24 - 0 - 0 - 0 - 0 - 0 - -112 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 96 - 0 - 0 - 0 - 0 - 0 - 144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -96 - 0 - 0 - 0 - 0 - 0 - 16 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 120 - 0 - 0 - 0 - 0 - 0 - 208 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 96 - 0 - 0 - 0 - 0 - 0 - -32 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -96 - 0 - 0 - 0 - 0 - 0 - -240 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 72 - 0 - 0 - 0 - 0 - 0 - -96 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -120 - 0 - 0 - 0 - 0 - 0 - 64 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -48 - 0 - 0 - 0 - 0 - 0 - -32 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 16 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 24 - 0 - 0 - 0 - 0 - 0 - 128 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -48 - 0 - 0 - 0 - 0 - 0 - 160 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -336 - 0 - 0 - 0 - 0 - 0 - 240 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -72 - 0 - 0 - 0 - 0 - 0 - -224 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -24 - 0 - 0 - 0 - 0 - 0 - -288 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -120 - 0 - 0 - 0 - 0 - 0 - 16 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -264 - 0 - 0 - 0 - 0 - 0 - 128 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -216 - 0 - 0 - 0 - 0 - 0 - -192 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -384 - 0 - 0 - 0 - 0 - 0 - 288 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -72 - 0 - 0 - 0 - 0 - 0 - 112 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -48 - 0 - 0 - 0 - 0 - 0 - -144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -288 - 0 - 0 - 0 - 0 - 0 - -304 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -192 - 0 - 0 - 0 - 0 - 0 - -48 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -24 - 0 - 0 - 0 - 0 - 0 - -80 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -504 - 0 - 0 - 0 - 0 - 0 - -48 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -312 - 0 - 0 - 0 - 0 - 0 - 240 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -288 - 0 - 0 - 0 - 0 - 0 - -256 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 24 - 0 - 0 - 0 - 0 - 0 - 368 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 72 - 0 - 0 - 0 - 0 - 0 - 320 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -624 - 0 - 0 - 0 - 0 - 0 - 288 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -264 - 0 - 0 - 0 - 0 - 0 - -144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -456 - 0 - 0 - 0 - 0 - 0 - -160 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -240 - 0 - 0 - 0 - 0 - 0 - 160 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 24 - 0 - 0 - 0 - 0 - 0 - -96 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -240 - 0 - 0 - 0 - 0 - 0 - -32 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -48 - 0 - 0 - 0 - 0 - 0 - -384 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -408 - 0 - 0 - 0 - 0 - 0 - 176 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -144 - 0 - 0 - 0 - 0 - 0 - 240 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 120 - 0 - 0 - 0 - 0 - 0 - 368 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -528 - 0 - 0 - 0 - 0 - 0 - -16 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -48 - 0 - 0 - 0 - 0 - 0 - -528 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -432 - 0 - 0 - 0 - 0 - 0 - 112 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 216 - 0 - 0 - 0 - 0 - 0 - -272 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -96 - 0 - 0 - 0 - 0 - 0 - 144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -48 - 0 - 0 - 0 - 0 - 0 - -48 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 72 - 0 - 0 - 0 - 0 - 0 - 576 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -528 - 0 - 0 - 0 - 0 - 0 - -256 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 264 - 0 - 0 - 0 - 0 - 0 - 432 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 312 - 0 - 0 - 0 - 0 - 0 - -240 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 240 - 0 - 0 - 0 - 0 - 0 - -352 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -336 - 0 - 0 - 0 - 0 - 0 - -288 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -360 - 0 - 0 - 0 - 0 - 0 - -352 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -24 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 312 - 0 - 0 - 0 - 0 - 0 - -256 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 528 - 0 - 0 - 0 - 0 - 0 - 80 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 96 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -96 - 0 - 0 - 0 - 0 - 0 - 48 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 24 - 0 - 0 - 0 - 0 - 0 - -160 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 480 - 0 - 0 - 0 - 0 - 0 - -224 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -168 - 0 - 0 - 0 - 0 - 0 - 128 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -96 - 0 - 0 - 0 - 0 - 0 - 400 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -288 - 0 - 0 - 0 - 0 - 0 - 176 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 288 - 0 - 0 - 0 - 0 - 0 - -416 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 240 - 0 - 0 - 0 - 0 - 0 - 128 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -168 - 0 - 0 - 0 - 0 - 0 - 576 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 624 - 0 - 0 - 0 - 0 - 0 - 336 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -336 - 0 - 0 - 0 - 0 - 0 - -144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 144 - 0 - 0 - 0 - 0 - 0 - -320 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 432 - 0 - 0 - 0 - 0 - 0 - 160 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -24 - 0 - 0 - 0 - 0 - 0 - 144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 360 - 0 - 0 - 0 - 0 - 0 - 528 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -168 - 0 - 0 - 0 - 0 - 0 - -256 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 360 - 0 - 0 - 0 - 0 - 0 - 272 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 432 - 0 - 0 - 0 - 0 - 0 - 112 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -576 - 0 - 0 - 0 - 0 - 0 - 272 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 456 - 0 - 0 - 0 - 0 - 0 - -144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 120 - 0 - 0 - 0 - 0 - 0 - -176 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 600 - 0 - 0 - 0 - 0 - 0 - -192 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 696 - 0 - 0 - 0 - 0 - 0 - -384 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 624 - 0 - 0 - 0 - 0 - 0 - 400 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 168 - 0 - 0 - 0 - 0 - 0 - -336 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -624 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 720 - 0 - 0 - 0 - 0 - 0 - 48 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 504 - 0 - 0 - 0 - 0 - 0 - 16 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 312 - 0 - 0 - 0 - 0 - 0 - -496 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 144 - 0 - 0 - 0 - 0 - 0 - -112 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 128 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 288 - 0 - 0 - 0 - 0 - 0 - -64 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 336 - 0 - 0 - 0 - 0 - 0 - -192 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 480 - 0 - 0 - 0 - 0 - 0 - -160 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 408 - 0 - 0 - 0 - 0 - 0 - 480 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 120 - 0 - 0 - 0 - 0 - 0 - 16 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 192 - 0 - 0 - 0 - 0 - 0 - 320 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 960 - 0 - 0 - 0 - 0 - 0 - -496 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -528 - 0 - 0 - 0 - 0 - 0 - -336 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 216 - 0 - 0 - 0 - 0 - 0 - -144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 168 - 0 - 0 - 0 - 0 - 0 - 64 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 648 - 0 - 0 - 0 - 0 - 0 - -176 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 696 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -360 - 0 - 0 - 0 - 0 - 0 - 288 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 312 - 0 - 0 - 0 - 0 - 0 - 240 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -72 - 0 - 0 - 0 - 0 - 0 - -80 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -120 - 0 - 0 - 0 - 0 - 0 - 496 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 432 - 0 - 0 - 0 - 0 - 0 - -32 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 696 - 0 - 0 - 0 - 0 - 0 - -144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 408 - 0 - 0 - 0 - 0 - 0 - -48 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -216 - 0 - 0 - 0 - 0 - 0 - 192 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 264 - 0 - 0 - 0 - 0 - 0 - -272 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 672 - 0 - 0 - 0 - 0 - 0 - 288 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -48 - 0 - 0 - 0 - 0 - 0 weight: 2 weight_parity: 1 - - an: - - 1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 1 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - 0 - -1 - 0 - -1 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - -1 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 2 - 0 - 1 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - -2 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 1 - 0 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 1 - 0 - 1 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 2 - 0 - 0 - 0 - 1 - -1 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 2 - 0 - -1 - 2 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 4 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - 0 - 1 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 2 - 0 - 1 - 0 - 0 - 0 - 0 - 2 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - -1 - 0 - -2 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - -3 - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - -2 - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -2 - 0 - 0 - 0 - -1 - 0 - -1 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -2 - -2 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 4 - 0 - 0 - 0 - -1 - 1 - -1 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - 0 - 0 - 0 - -3 - 0 - 1 - -2 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 8 - 0 - 1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 2 - 0 - 0 - 1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 2 - 0 - 2 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 1 - 0 - -2 - -2 - 0 - 0 - 0 - 2 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 4 - 1 - -1 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 2 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - 2 - 2 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 8 - 0 - 0 - 0 - -1 - -1 - 1 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 1 - -1 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 10 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 1 - 0 - 0 - 1 - 0 - 0 - 0 - 2 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - -2 - 0 - -2 - 0 - 0 - 0 - 0 - -3 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 ap: - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 1 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - 0 - -1 - 0 - -1 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - -1 - 0 - - 2 - 0 - 1 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - -2 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 1 - 0 - 1 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 2 - 0 - 0 - 0 - 1 - -1 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 4 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - 0 - 1 - - 2 - 0 - 1 - 0 - 0 - 0 - 0 - 2 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - - 0 - -1 - 0 - -2 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - -2 - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -2 - -2 - - 0 - 0 - 0 - 0 - 0 - 4 - 0 - 0 - 0 - -1 - 1 - -1 - 0 - 0 - 0 - 0 - - 8 - 0 - 1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - - 0 - 2 - 0 - 0 - 1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 2 - 0 - 2 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 4 - 1 - -1 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 2 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - 2 - 2 - - 0 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 1 - -1 - - -2 - 0 - -2 - 0 - 0 - 0 - 0 - -3 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - - 0 - 1 - 0 - 2 - 4 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 2 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -3 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 9 - 0 - 0 - 0 - 0 - -1 - 3 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 3 - 2 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 7 - 0 - 1 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - 0 - 0 - 0 - 6 - 0 - -1 - -2 - - 0 - 0 - 0 - 0 - 0 - 0 - 3 - 0 - 0 - 0 - 0 - 0 - 3 - 0 - -1 - 1 - - 4 - 0 - -4 - 0 - 0 - 0 - 0 - -4 - 0 - 0 - 0 - 0 - 0 - 2 - 0 - 0 - - 0 - 1 - 0 - 1 - -2 - 0 - 0 - 0 - 5 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - -2 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 8 - 0 - 0 - 0 - 5 - 1 - 1 - 0 - 0 - 0 - 0 - - 2 - 0 - -2 - 0 - 0 - 0 - 0 - -3 - 0 - 0 - 0 - 0 - 0 - 3 - 0 - 0 - - 0 - -2 - 0 - 0 - -3 - 0 - 0 - 0 - 4 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 2 - 0 - 3 - 6 - 0 - 0 - 0 - 1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -4 - 0 - 0 - 0 - 0 - 0 - 2 - 0 - 0 - 2 - - 0 - 0 - 0 - 0 - 0 - 6 - 0 - 0 - 0 - 2 - 2 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 4 - -5 - 0 - 0 - 0 - 2 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 3 - 0 - -4 - 0 - 0 - 0 - 0 - -4 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - -3 - 0 - -3 - -2 - 0 - 0 - 0 - -3 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 6 - 0 - 0 - 0 - 2 - 0 - 2 - 0 - 0 - 0 - 0 - - 14 - 0 - 1 - 0 - 0 - 0 - 0 - 7 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -2 - 0 - 0 - 0 - 6 - -3 - 3 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 2 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - 3 - 2 - - 0 - 0 - 0 - 0 - 0 - 5 - 0 - 0 - 0 - 2 - 3 - 1 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 5 - 0 - -3 - -3 - - 0 - 4 - 0 - 2 - -2 - 0 - 0 - 0 - -2 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - -4 - 0 - 2 - 0 - 0 - 0 - 0 - -2 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -3 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -3 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 3 - 0 - 1 - 3 - - 0 - -3 - 0 - 6 - 2 - 0 - 0 - 0 - -4 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - -3 - 0 - 5 - -2 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -2 - 0 - 0 - 0 - 8 - 1 - -3 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 4 - 0 - 0 - 0 - -8 - 2 - -4 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - -1 - -4 - - 6 - 0 - 6 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - 0 - - 0 - 1 - 0 - -7 - -4 - 0 - 0 - 0 - 2 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 4 - 0 - 2 - 0 - 0 - 0 - 0 - 7 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - - 0 - -3 - 0 - -4 - -6 - 0 - 0 - 0 - 2 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - -1 - 0 - 2 - 0 - 0 - 0 - 0 - -3 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - - 0 - 2 - 0 - 3 - 0 - 0 - 0 - 0 - -2 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 14 - 0 - 7 - 0 - 0 - 0 - 0 - -3 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - 0 - - 4 - 0 - 4 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 2 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - -1 - -4 - - 0 - 0 - 0 - 0 - 0 - 6 - 0 - 0 - 0 - 5 - -1 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 3 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 2 - 1 - - 0 - 5 - 0 - 2 - 1 - 0 - 0 - 0 - 2 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 4 - 0 - 0 - 0 - 9 - 1 - -2 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 6 - 0 - 0 - 0 - 2 - -2 - -6 - 0 - 0 - 0 - 0 - - 6 - 0 - 0 - 0 - 0 - 0 - 0 - -5 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - - 0 - 3 - 0 - 4 - 9 - 0 - 0 - 0 - 4 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 2 - 0 - -4 - -2 - 0 - 0 - 0 - -5 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 6 - 0 - 0 - 0 - 0 - 2 - -3 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 2 - 0 - 0 - 0 - 0 - 0 - -5 - 0 - -1 - -3 - - 2 - 0 - 2 - 0 - 0 - 0 - 0 - -3 - 0 - 0 - 0 - 0 - 0 - 2 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 3 - 0 - 0 - 0 - 0 - 0 - -4 - 0 - -4 - 0 - - 0 - 0 - 0 - 0 - 0 - 13 - 0 - 0 - 0 - 2 - -1 - -1 - 0 - 0 - 0 - 0 - - 0 - -4 - 0 - 6 - 0 - 0 - 0 - 0 - 2 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 15 - 0 - 4 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - 0 - 0 - 0 - -3 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -6 - 0 - 0 - 0 - -1 - 3 - 2 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 3 - 0 - 0 - 0 - 0 - 0 - 6 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -6 - 0 - 2 - -5 - - -6 - 0 - -8 - 0 - 0 - 0 - 0 - -5 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - -2 - 0 - 4 - 2 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 2 - 0 - 0 - 0 - 3 - -1 - -4 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 4 - 0 - 0 - 0 - - 0 - 1 - 0 - -4 - -3 - 0 - 0 - 0 - 6 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -18 - 0 - 0 - 0 - -13 - -1 - -2 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 3 - 2 - - 2 - 0 - -5 - 0 - 0 - 0 - 0 - -10 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - - 0 - 5 - 0 - -4 - 4 - 0 - 0 - 0 - 2 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - -2 - 0 - -4 - 4 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 2 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - -6 - -1 - - -6 - 0 - 3 - 0 - 0 - 0 - 0 - 13 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 7 - 0 - 0 - 0 - -10 - -1 - -1 - 0 - 0 - 0 - 0 - - -2 - 0 - 2 - 0 - 0 - 0 - 0 - -7 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - - 0 - 2 - 0 - -3 - -2 - 0 - 0 - 0 - 1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -3 - 0 - 0 - 0 - 0 - 0 - 10 - 0 - 2 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -5 - 0 - 0 - 0 - 0 - 0 - 3 - 0 - -3 - 2 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -7 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - - 1 - 0 - 0 - 0 - 0 - 0 - 0 - 3 - 0 - 0 - 0 - 0 - 0 - -3 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -3 - 4 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 5 - 0 - 5 - 3 - - 0 - -3 - 0 - -2 - -10 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 7 - 0 - -2 - 0 - 0 - 0 - 0 - -9 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -4 - 0 - 0 - 0 - 10 - -5 - 5 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -14 - 0 - 0 - 0 - -4 - -2 - -4 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -5 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 1 - -3 - - -8 - 0 - -10 - 0 - 0 - 0 - 0 - -3 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 4 - 0 - 0 - 0 - -10 - 4 - -6 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 5 - 0 - -5 - 5 - - -20 - 0 - 2 - 0 - 0 - 0 - 0 - 10 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - 0 - - 0 - 4 - 0 - -4 - -3 - 0 - 0 - 0 - 6 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - -2 - 0 - 8 - -6 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - -6 - 0 - -5 - -4 - - 0 - 0 - 0 - 0 - 0 - 8 - 0 - 0 - 0 - -6 - -4 - 1 - 0 - 0 - 0 - 0 - - -11 - 0 - 6 - 0 - 0 - 0 - 0 - 8 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - 0 - - 0 - -4 - 0 - -4 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - 0 - 0 - 0 - -10 - 0 - 6 - -2 - - -2 - 0 - -1 - 0 - 0 - 0 - 0 - 4 - 0 - 0 - 0 - 0 - 0 - 3 - 0 - 0 - - 0 - 6 - 0 - -3 - -4 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -3 - 0 - 0 - 0 - -2 - -1 - 9 - 0 - 0 - 0 - 0 - - 0 - -9 - 0 - 2 - -1 - 0 - 0 - 0 - -2 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 10 - 0 - 0 - 0 - -13 - -2 - 3 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -18 - 0 - 0 - 0 - -6 - 2 - 0 - 0 - 0 - 0 - 0 - - -2 - 0 - -4 - 0 - 0 - 0 - 0 - 4 - 0 - 0 - 0 - 0 - 0 - -4 - 0 - 0 - - 0 - -4 - 0 - 2 - 0 - 0 - 0 - 0 - 4 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 8 - 0 - 0 - 0 - 7 - 2 - -3 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -8 - 0 - 0 - 0 - -4 - 4 - 2 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 7 - 0 - 0 - 0 - 0 - 0 - 9 - 0 - -3 - -7 - - 9 - 0 - 2 - 0 - 0 - 0 - 0 - 14 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 2 - 0 - -7 - 6 - 0 - 0 - 0 - -5 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - -14 - 0 - -1 - 0 - 0 - 0 - 0 - -12 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - - 0 - 3 - 0 - 9 - 4 - 0 - 0 - 0 - -3 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 2 - 0 - 0 - 0 - 0 - 0 - 7 - 0 - -1 - -3 - - -22 - 0 - 4 - 0 - 0 - 0 - 0 - -10 - 0 - 0 - 0 - 0 - 0 - 4 - 0 - 0 - - 0 - -6 - 0 - -3 - -6 - 0 - 0 - 0 - 6 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 2 - 0 - 0 - 0 - -18 - 2 - -4 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 5 - 0 - 0 - 0 - 0 - 0 - 4 - 0 - 3 - -4 - - 0 - 0 - 0 - 0 - 0 - -12 - 0 - 0 - 0 - 2 - -2 - 6 - 0 - 0 - 0 - 0 - - 0 - -1 - 0 - 6 - 9 - 0 - 0 - 0 - -4 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -32 - 0 - 0 - 0 - -6 - -2 - -4 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -4 - 0 - 0 - 0 - 0 - 0 - 7 - 0 - -9 - -1 - - -4 - 0 - -2 - 0 - 0 - 0 - 0 - 19 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - - 0 - -8 - 0 - 2 - -5 - 0 - 0 - 0 - -8 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -4 - 0 - 0 - 0 - -8 - -2 - -5 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - 0 - 0 - 0 - 0 - 2 - 0 - 8 - 5 - - -16 - 0 - 4 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - 0 - - 0 - -6 - 0 - 6 - 1 - 0 - 0 - 0 - -2 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - -10 - 0 - 4 - 0 - 0 - 0 - 0 - 3 - 0 - 0 - 0 - 0 - 0 - -5 - 0 - 0 - - 0 - 5 - 0 - -2 - -1 - 0 - 0 - 0 - 8 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -22 - 0 - 0 - 0 - -6 - -1 - 5 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 7 - 0 - 5 - 2 - - -7 - 0 - -4 - 0 - 0 - 0 - 0 - 9 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - - 0 - 2 - 0 - 5 - 8 - 0 - 0 - 0 - -5 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -5 - 0 - 0 - 0 - 0 - 0 - 2 - 0 - -7 - -8 - - 0 - 0 - 0 - 0 - 0 - 0 - 6 - 0 - 0 - 0 - 0 - 0 - -3 - 0 - 7 - 5 - - 0 - 0 - 0 - 0 - 0 - 14 - 0 - 0 - 0 - -14 - -1 - 3 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 9 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - -1 - -6 - - 0 - 0 - 0 - 0 - 0 - 0 - 6 - 0 - 0 - 0 - 0 - 0 - 14 - 0 - -4 - -3 - - 0 - -1 - 0 - 8 - 8 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 2 - 0 - 0 - 0 - 4 - -7 - 3 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -2 - 0 - 0 - 0 - 10 - -2 - -7 - 0 - 0 - 0 - 0 - - -18 - 0 - -4 - 0 - 0 - 0 - 0 - -10 - 0 - 0 - 0 - 0 - 0 - -3 - 0 - 0 - - 0 - -8 - 0 - 0 - -2 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -6 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - 2 - -6 - - 0 - 0 - 0 - 0 - 0 - -12 - 0 - 0 - 0 - 18 - 0 - -3 - 0 - 0 - 0 - 0 - - 0 - -6 - 0 - -2 - -4 - 0 - 0 - 0 - -6 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 22 - 0 - -2 - 0 - 0 - 0 - 0 - 17 - 0 - 0 - 0 - 0 - 0 - -4 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -22 - 0 - 0 - 0 - -5 - 2 - -3 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 8 - 0 - 0 - 0 - 0 - 0 - 2 - 0 - 0 - -3 - - 6 - 0 - 3 - 0 - 0 - 0 - 0 - 6 - 0 - 0 - 0 - 0 - 0 - -5 - 0 - 0 - - 0 - 5 - 0 - -1 - -4 - 0 - 0 - 0 - 10 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 18 - 0 - 0 - 0 - -10 - 0 - 8 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -20 - 0 - 0 - 0 - 4 - 6 - -7 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -26 - 0 - 0 - 0 - 6 - -2 - -2 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -9 - 0 - 0 - 0 - 0 - 0 - -6 - 0 - -1 - 0 - - 0 - 0 - 0 - 0 - 0 - -21 - 0 - 0 - 0 - -15 - 0 - -3 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 2 - 0 - 0 - 0 - 0 - 0 - 6 - 0 - 2 - -7 - - 0 - 5 - 0 - 12 - 6 - 0 - 0 - 0 - -4 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - -3 - 0 - -3 - -8 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -11 - 0 - 0 - 0 - 6 - -5 - -1 - 0 - 0 - 0 - 0 - - -24 - 0 - 9 - 0 - 0 - 0 - 0 - 14 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - - -12 - 0 - -4 - 0 - 0 - 0 - 0 - 8 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - -7 - 0 - -8 - -5 - 0 - 0 - 0 - -2 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 6 - 0 - -8 - 0 - 0 - 0 - 0 - 8 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 5 - 0 - 0 - 0 - 0 - 0 - 4 - 0 - -8 - -4 - - 2 - 0 - 1 - 0 - 0 - 0 - 0 - 22 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - - 0 - -7 - 0 - -5 - -10 - 0 - 0 - 0 - 1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 4 - 0 - 0 - 0 - 0 - 0 - 2 - 0 - -3 - -8 - - 0 - 0 - 0 - 0 - 0 - 0 - -9 - 0 - 0 - 0 - 0 - 0 - -4 - 0 - -2 - 1 - - -5 - 0 - -6 - 0 - 0 - 0 - 0 - -10 - 0 - 0 - 0 - 0 - 0 - 4 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -24 - 0 - 0 - 0 - 8 - 4 - -6 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -5 - 0 - 0 - 0 - 0 - 0 - -7 - 0 - 5 - -4 - - 0 - 2 - 0 - 6 - 1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - -1 - 0 - 7 - 12 - 0 - 0 - 0 - 4 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 22 - 0 - 0 - 0 - 11 - -2 - 9 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 6 - 0 - 0 - 0 - 11 - -5 - 0 - 0 - 0 - 0 - 0 - - 8 - 0 - -6 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - 0 - 0 - 0 - 2 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - 0 - 0 - 0 - 18 - 0 - -3 - -2 - - 0 - 8 - 0 - 0 - -4 - 0 - 0 - 0 - 1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -4 - 5 - -11 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -10 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -2 - 2 - - 0 - 0 - 0 - 0 - 0 - 0 - 4 - 0 - 0 - 0 - 0 - 0 - 2 - 0 - 4 - 9 - - 10 - 0 - 5 - 0 - 0 - 0 - 0 - 17 - 0 - 0 - 0 - 0 - 0 - -4 - 0 - 0 - - 28 - 0 - 10 - 0 - 0 - 0 - 0 - 9 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - - 0 - 6 - 0 - 9 - 6 - 0 - 0 - 0 - -3 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 6 - 0 - 0 - 0 - -10 - -3 - 5 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -5 - 0 - 0 - 0 - 0 - 0 - 8 - 0 - -5 - -2 - - 0 - -5 - 0 - 0 - -6 - 0 - 0 - 0 - 4 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 2 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - -9 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 20 - 0 - 0 - 0 - 12 - -3 - 3 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -5 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - -13 - 2 - - 0 - 0 - 0 - 2 - -6 - 0 - 0 - 0 - 8 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - -5 - 0 - 3 - -8 - 0 - 0 - 0 - -4 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -5 - 0 - 0 - 0 - -9 - 8 - -7 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 14 - 0 - 0 - 0 - -15 - 0 - -9 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -3 - 0 - 0 - 0 - 0 - 0 - 5 - 0 - -9 - -4 - - 0 - 0 - 0 - 0 - 0 - -14 - 0 - 0 - 0 - -12 - 0 - -6 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 6 - 0 - 0 - 0 - 0 - 0 - 12 - 0 - 2 - 6 - - 0 - 0 - 0 - 0 - 0 - -6 - 0 - 0 - 0 - 13 - -1 - 9 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 2 - 0 - -8 - 1 - - 0 - -3 - 0 - 8 - -7 - 0 - 0 - 0 - 4 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -8 - 0 - 0 - 0 - 5 - 5 - 10 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -4 - 0 - 0 - 0 - 0 - 0 - -6 - 0 - -10 - 2 - - 0 - 0 - 0 - 0 - 0 - -31 - 0 - 0 - 0 - 3 - 0 - -11 - 0 - 0 - 0 - 0 - - 4 - 0 - -1 - 0 - 0 - 0 - 0 - -23 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -26 - 0 - 0 - 0 - -3 - 0 - -15 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -5 - 0 - 0 - 0 - 0 - 0 - 5 - 0 - -5 - 9 - - -32 - 0 - 2 - 0 - 0 - 0 - 0 - 15 - 0 - 0 - 0 - 0 - 0 - -3 - 0 - 0 - - 0 - 1 - 0 - -8 - -6 - 0 - 0 - 0 - -4 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - -18 - 0 - -4 - 0 - 0 - 0 - 0 - -2 - 0 - 0 - 0 - 0 - 0 - 7 - 0 - 0 - - 0 - 3 - 0 - 6 - 0 - 0 - 0 - 0 - -9 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -5 - 0 - 0 - 0 - 0 - 0 - 12 - 0 - 6 - 4 - - 0 - 5 - 0 - -20 - -3 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 5 - 0 - 0 - 0 - 0 - 0 - -12 - 0 - -1 - 2 - - 22 - 0 - 5 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -5 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -22 - 0 - 0 - 0 - -18 - -4 - -4 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 4 - 0 - 0 - 0 - 18 - 4 - 2 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - 2 - -1 - - 0 - -3 - 0 - -6 - 5 - 0 - 0 - 0 - -4 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -4 - 0 - 0 - 0 - 10 - 5 - 3 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 5 - 0 - 0 - 0 - 0 - 0 - 4 - 0 - 7 - 8 - - -22 - 0 - -2 - 0 - 0 - 0 - 0 - -22 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - 0 - - 0 - -5 - 0 - -8 - 2 - 0 - 0 - 0 - -8 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 19 - 0 - 0 - 0 - -23 - -2 - -1 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - 0 - 0 - 0 - 11 - 0 - 7 - 6 - - 0 - -3 - 0 - 4 - -2 - 0 - 0 - 0 - -4 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - -20 - 0 - 4 - 0 - 0 - 0 - 0 - 18 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - - 0 - 5 - 0 - 3 - 4 - 0 - 0 - 0 - 7 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - 0 - 0 - 0 - 6 - 0 - 11 - -2 - - 0 - 0 - 0 - 0 - 0 - 15 - 0 - 0 - 0 - -16 - -3 - 9 - 0 - 0 - 0 - 0 - - 0 - 0 - 3 - 0 - 0 - 0 - 0 - -24 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - - 0 - -2 - 0 - -5 - -10 - 0 - 0 - 0 - 2 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - -14 - 0 - 4 - 0 - 0 - 0 - 0 - -3 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -6 - 0 - 0 - 0 - -2 - 12 - -8 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -3 - 0 - 0 - 0 - 0 - 0 - 12 - 0 - -4 - 6 - - 0 - 0 - 0 - 0 - 0 - 7 - 0 - 0 - 0 - 20 - -5 - -1 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -30 - 0 - 0 - 0 - -5 - 1 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 4 - 0 - 4 - 13 - - 8 - 0 - 4 - 0 - 0 - 0 - 0 - 5 - 0 - 0 - 0 - 0 - 0 - -3 - 0 - 0 - - 0 - -2 - 0 - -5 - 6 - 0 - 0 - 0 - -7 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -13 - 0 - 0 - 0 - 0 - 0 - 13 - 0 - -13 - -3 - - -10 - 0 - -8 - 0 - 0 - 0 - 0 - 11 - 0 - 0 - 0 - 0 - 0 - 3 - 0 - 0 - - 0 - 3 - 0 - -5 - -10 - 0 - 0 - 0 - 6 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 24 - 0 - 0 - 0 - -5 - 1 - 9 - 0 - 0 - 0 - 0 - - -2 - 0 - -7 - 0 - 0 - 0 - 0 - 9 - 0 - 0 - 0 - 0 - 0 - 6 - 0 - 0 - - -14 - 0 - -8 - 0 - 0 - 0 - 0 - -21 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 20 - 0 - 0 - 0 - 4 - -4 - 6 - 0 - 0 - 0 - 0 - - -10 - 0 - 8 - 0 - 0 - 0 - 0 - 3 - 0 - 0 - 0 - 0 - 0 - 2 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -54 - 0 - 0 - 0 - -4 - 0 - -4 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - 0 - 0 - 0 - 0 - 4 - 0 - 0 - -2 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -15 - 5 - 3 - 0 - 0 - 0 - 0 - - 11 - 0 - 6 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - 0 - 0 - 0 - -7 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 12 - 0 - -6 - 6 - - 0 - 7 - 0 - -12 - -8 - 0 - 0 - 0 - -4 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 34 - 0 - 0 - 0 - 9 - 1 - 4 - 0 - 0 - 0 - 0 - - 0 - 4 - 0 - 10 - 0 - 0 - 0 - 0 - -4 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -4 - 0 - 0 - 0 - 4 - 8 - -11 - 0 - 0 - 0 - 0 - - 22 - 0 - 8 - 0 - 0 - 0 - 0 - -12 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - 0 - - 0 - -5 - 0 - -2 - 2 - 0 - 0 - 0 - -2 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - -26 - 0 - 0 - 0 - 0 - 0 - 0 - 11 - 0 - 0 - 0 - 0 - 0 - 4 - 0 - 0 - - 0 - -2 - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 10 - 0 - 0 - 0 - 6 - -9 - 3 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -6 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - -9 - -4 - - 0 - -6 - 0 - 16 - 2 - 0 - 0 - 0 - -9 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - -9 - 4 - - 0 - 0 - 0 - 0 - 0 - 0 - 6 - 0 - 0 - 0 - 0 - 0 - 9 - 0 - -3 - -6 - - 0 - 0 - 0 - 0 - 0 - 0 - 17 - 0 - 0 - 0 - 0 - 0 - -6 - 0 - 0 - -10 - - 0 - 0 - 0 - 0 - 0 - -6 - 0 - 0 - 0 - -3 - -3 - -15 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - -7 - 4 - 0 - 0 - 0 - 9 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -54 - 0 - 0 - 0 - 10 - 0 - 4 - 0 - 0 - 0 - 0 - - 0 - 12 - 0 - 11 - 6 - 0 - 0 - 0 - -3 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -3 - 0 - 0 - 0 - 0 - 0 - -16 - 0 - -3 - -6 - - 6 - 0 - -6 - 0 - 0 - 0 - 0 - -10 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - 0 - - -21 - 0 - -2 - 0 - 0 - 0 - 0 - 8 - 0 - 0 - 0 - 0 - 0 - -4 - 0 - 0 - - 0 - -1 - 0 - 2 - -2 - 0 - 0 - 0 - 7 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 26 - 0 - 0 - 0 - -2 - -7 - 9 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -9 - 0 - 0 - 0 - 0 - 0 - 16 - 0 - -19 - 0 - - 26 - 0 - -2 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - 0 - 0 - 0 - 7 - 0 - 0 - - 10 - 0 - 0 - 0 - 0 - 0 - 0 - -19 - 0 - 0 - 0 - 0 - 0 - -5 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -3 - 0 - 0 - 0 - 0 - 0 - -8 - 0 - 13 - -6 - - 0 - 0 - 0 - 0 - 0 - -6 - 0 - 0 - 0 - -14 - 0 - -6 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 6 - 0 - 0 - 0 - 0 - 6 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 6 - 0 - 0 - 0 - -7 - 1 - 3 - 0 - 0 - 0 - 0 - - 0 - -5 - 0 - 20 - -9 - 0 - 0 - 0 - 6 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - -2 - 0 - 8 - -6 - 0 - 0 - 0 - 5 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 11 - 0 - 0 - 0 - 0 - 0 - 7 - 0 - -5 - -2 - - -12 - 0 - -3 - 0 - 0 - 0 - 0 - -14 - 0 - 0 - 0 - 0 - 0 - 5 - 0 - 0 - - 0 - -6 - 0 - 6 - 8 - 0 - 0 - 0 - 8 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - -16 - 0 - 0 - 0 - 0 - 0 - 0 - 9 - 0 - 0 - 0 - 0 - 0 - -3 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 4 - 0 - 14 - 12 - - 0 - -5 - 0 - 20 - -12 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 20 - 0 - 6 - 0 - 0 - 0 - 0 - 24 - 0 - 0 - 0 - 0 - 0 - 3 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 6 - 0 - 0 - 0 - 0 - 0 - 10 - 0 - -4 - 5 - - 28 - 0 - 2 - 0 - 0 - 0 - 0 - 14 - 0 - 0 - 0 - 0 - 0 - -8 - 0 - 0 - - 10 - 0 - 6 - 0 - 0 - 0 - 0 - -25 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - - 0 - -9 - 0 - -1 - -4 - 0 - 0 - 0 - 12 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 22 - 0 - 0 - 0 - 9 - 1 - 4 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 14 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - 10 - -5 - - 18 - 0 - 2 - 0 - 0 - 0 - 0 - -22 - 0 - 0 - 0 - 0 - 0 - 3 - 0 - 0 - - 36 - 0 - 15 - 0 - 0 - 0 - 0 - 3 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 12 - 0 - 9 - 0 - 0 - 0 - 0 - 12 - 0 - 0 - 0 - 0 - 0 - -3 - 0 - 0 - - 0 - -11 - 0 - -4 - 7 - 0 - 0 - 0 - -2 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - -4 - 0 - 16 - 6 - 0 - 0 - 0 - -5 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 48 - 0 - 0 - 0 - -14 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 8 - 0 - -5 - -2 - 0 - 0 - 0 - 7 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 16 - 0 - 0 - 0 - -16 - -2 - -6 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 8 - 0 - 0 - 0 - 0 - 0 - -12 - 0 - 23 - -4 - - 40 - 0 - -7 - 0 - 0 - 0 - 0 - 10 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -9 - 0 - 0 - 0 - 0 - 0 - 12 - 0 - -2 - -6 - - 0 - 0 - 0 - 0 - 0 - 26 - 0 - 0 - 0 - -29 - -1 - 1 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -12 - 0 - 0 - 0 - 0 - 0 - 5 - 0 - -7 - 18 - - 22 - 0 - -6 - 0 - 0 - 0 - 0 - 11 - 0 - 0 - 0 - 0 - 0 - -5 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -2 - -6 - -8 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -20 - 0 - 0 - 0 - 27 - -1 - 5 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -10 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - -12 - -7 - - 0 - 13 - 0 - -7 - -2 - 0 - 0 - 0 - 11 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -20 - 0 - 0 - 0 - 17 - -7 - 4 - 0 - 0 - 0 - 0 - - 0 - 19 - 0 - -1 - 0 - 0 - 0 - 0 - 14 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -3 - 0 - 0 - 0 - 0 - 0 - -10 - 0 - 5 - 12 - - 0 - 0 - 0 - 0 - 0 - -7 - 0 - 0 - 0 - -1 - 14 - -5 - 0 - 0 - 0 - 0 - - 44 - 0 - -2 - 0 - 0 - 0 - 0 - 17 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - - 0 - -1 - 0 - -2 - 12 - 0 - 0 - 0 - -18 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - -6 - 0 - -5 - 8 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 32 - 0 - 1 - 0 - 0 - 0 - 0 - 16 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - - 4 - 0 - 6 - 0 - 0 - 0 - 0 - 14 - 0 - 0 - 0 - 0 - 0 - 7 - 0 - 0 - - 0 - 6 - 0 - 7 - 8 - 0 - 0 - 0 - 6 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -24 - 0 - 0 - 0 - -20 - -9 - 1 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 16 - 0 - 0 - 0 - -18 - 10 - -7 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -13 - 0 - 0 - 0 - 0 - 0 - 5 - 0 - -11 - 8 - - 0 - 4 - 0 - 6 - -10 - 0 - 0 - 0 - -6 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -8 - 0 - 0 - 0 - 0 - 0 - -8 - 0 - -3 - -8 - - 0 - 0 - 0 - 0 - 0 - 0 - 6 - 0 - 0 - 0 - 0 - 0 - -10 - 0 - -4 - 3 - - 0 - -9 - 0 - -4 - -17 - 0 - 0 - 0 - 6 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -2 - 0 - 0 - 0 - -31 - 9 - -7 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 8 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 15 - -1 - - 0 - 17 - 0 - 6 - -1 - 0 - 0 - 0 - 4 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - 0 - 0 - 0 - -4 - 0 - 8 - -2 - - 18 - 0 - 0 - 0 - 0 - 0 - 0 - 17 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - - -5 - 0 - -2 - 0 - 0 - 0 - 0 - 17 - 0 - 0 - 0 - 0 - 0 - 5 - 0 - 0 - - 0 - -2 - 0 - -8 - 12 - 0 - 0 - 0 - -19 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 16 - 0 - 0 - 0 - -10 - -2 - -6 - 0 - 0 - 0 - 0 - - -10 - 0 - 0 - 0 - 0 - 0 - 0 - -38 - 0 - 0 - 0 - 0 - 0 - 2 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -8 - 0 - -9 - 6 - - 24 - 0 - -3 - 0 - 0 - 0 - 0 - -13 - 0 - 0 - 0 - 0 - 0 - 2 - 0 - 0 - - 0 - 3 - 0 - 0 - 0 - 0 - 0 - 0 - 6 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 3 - 0 - -3 - 10 - 0 - 0 - 0 - -6 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 16 - 0 - 0 - 0 - 23 - 1 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 41 - 0 - 0 - 0 - 21 - -2 - 7 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 7 - 0 - 0 - 0 - 0 - 0 - 4 - 0 - -1 - -2 - - 0 - 10 - 0 - 4 - -2 - 0 - 0 - 0 - 6 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 19 - 0 - -2 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -8 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -5 - 0 - 0 - 0 - 0 - 0 - 16 - 0 - 2 - 1 - - -34 - 0 - -6 - 0 - 0 - 0 - 0 - -12 - 0 - 0 - 0 - 0 - 0 - 3 - 0 - 0 - - 0 - -5 - 0 - 3 - 2 - 0 - 0 - 0 - -10 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -18 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - -1 - 12 - - 0 - 0 - 0 - 0 - 0 - -40 - 0 - 0 - 0 - 16 - -2 - 8 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 11 - 0 - 0 - 0 - 0 - 0 - 15 - 0 - 5 - -10 - - 18 - 0 - 9 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - -8 - 0 - 0 - - 0 - -5 - 0 - 6 - 11 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 14 - 0 - 0 - 0 - 12 - 0 - 12 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 7 - 0 - 0 - 0 - 3 - 0 - 17 - 0 - 0 - 0 - 0 - - 8 - 0 - -5 - 0 - 0 - 0 - 0 - -3 - 0 - 0 - 0 - 0 - 0 - -8 - 0 - 0 - - 0 - -7 - 0 - -12 - -16 - 0 - 0 - 0 - 10 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 4 - 0 - 0 - 0 - 0 - 0 - 0 - 8 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - - 0 - 11 - 0 - 1 - 10 - 0 - 0 - 0 - 4 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -3 - 0 - 0 - 0 - 0 - 0 - -12 - 0 - -15 - -6 - - 46 - 0 - 11 - 0 - 0 - 0 - 0 - -3 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 1 - 0 - 4 - 8 - 0 - 0 - 0 - 10 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - -8 - 0 - 6 - 0 - 0 - 0 - 0 - -18 - 0 - 0 - 0 - 0 - 0 - 9 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -28 - 0 - 0 - 0 - -8 - 1 - 5 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 15 - 0 - 0 - 0 - 0 - 0 - -19 - 0 - 3 - 2 - - 40 - 0 - -7 - 0 - 0 - 0 - 0 - -17 - 0 - 0 - 0 - 0 - 0 - 6 - 0 - 0 - - 0 - 10 - 0 - 13 - 14 - 0 - 0 - 0 - 5 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - -2 - 0 - 0 - 0 - 1 - -1 - -13 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 8 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - -4 - -21 - - 0 - 0 - 0 - 0 - 0 - -14 - 0 - 0 - 0 - 18 - -3 - 9 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 7 - 0 - 0 - 0 - 0 - 0 - -15 - 0 - 23 - -6 - - 0 - -8 - 0 - 1 - -8 - 0 - 0 - 0 - 5 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 12 - 0 - 0 - 0 - 31 - 6 - 7 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 23 - 0 - 0 - 0 - 10 - 3 - 19 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 3 - 0 - 0 - 0 - 0 - 0 - -3 - 0 - -9 - 0 - - -12 - 0 - -15 - 0 - 0 - 0 - 0 - 13 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 6 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - -4 - 6 - - 25 - 0 - -12 - 0 - 0 - 0 - 0 - -4 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - 0 - - 0 - -8 - 0 - -9 - 12 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -10 - 0 - 0 - 0 - 0 - 0 - -10 - 0 - 11 - 14 - - 28 - 0 - 2 - 0 - 0 - 0 - 0 - 11 - 0 - 0 - 0 - 0 - 0 - 7 - 0 - 0 - - -6 - 0 - -8 - 0 - 0 - 0 - 0 - 7 - 0 - 0 - 0 - 0 - 0 - 6 - 0 - 0 - - 0 - 6 - 0 - 3 - -8 - 0 - 0 - 0 - -9 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - -3 - 0 - -22 - 16 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 5 - -1 - -8 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -6 - 0 - 0 - 0 - 0 - 0 - -19 - 0 - 7 - 13 - - 0 - 11 - 0 - 4 - 0 - 0 - 0 - 0 - 6 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - -1 - 0 - 22 - 16 - 0 - 0 - 0 - 1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 54 - 0 - 0 - 0 - -15 - -5 - 12 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 12 - 0 - 0 - 0 - 0 - -6 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 8 - 0 - 0 - 0 - 0 - 0 - 8 - 0 - 8 - -4 - - -17 - 0 - 0 - 0 - 0 - 0 - 0 - 29 - 0 - 0 - 0 - 0 - 0 - -5 - 0 - 0 - - 0 - 8 - 0 - -17 - -12 - 0 - 0 - 0 - 1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 17 - 0 - 0 - 0 - 0 - 0 - -2 - 0 - 14 - -16 - - 0 - 0 - 0 - 0 - 0 - 0 - -7 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - -3 - 8 - - 36 - 0 - -12 - 0 - 0 - 0 - 0 - -9 - 0 - 0 - 0 - 0 - 0 - 9 - 0 - 0 - - 0 - 0 - 0 - -4 - -14 - 0 - 0 - 0 - -6 - 0 - 0 - 0 - 0 - 0 - 0 - 0 char_orbit_index: 6 field_poly: - 1 - 0 - -8 - 0 - 49 - 0 - -104 - 0 - 160 - 0 - -104 - 0 - 49 - 0 - -8 - 0 - 1 hecke_orbit_code: 18014742140425280 hecke_ring_character_values: - - 2431 - - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 325 - - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 1217 - - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 hecke_ring_cyclotomic_generator: 0 hecke_ring_denominators: - 1 - 528 - 1056 - 528 - 1056 - 198 - 528 - 48 - 528 - 528 - 24 - 3168 - 528 - 88 - 1056 - 528 hecke_ring_inverse_denominators: - 1 - 12 - 12 - 3 - 12 - 12 - 1 - 12 - 12 - 3 - 12 - 12 - 1 - 12 - 12 - 3 hecke_ring_inverse_numerators: - - 1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 1 - 0 - 2 - -4 - 0 - 1 - 0 - 2 - 0 - 0 - 0 - 2 - 0 - -2 - 0 - - 10 - 0 - -4 - 0 - 0 - -6 - 0 - 1 - 0 - -9 - -3 - 6 - 0 - 1 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 2 - 0 - 0 - 0 - 0 - 0 - 3 - 0 - -6 - -2 - - -40 - 0 - 22 - 0 - 0 - -54 - 0 - -13 - 0 - -33 - -15 - 36 - 0 - -7 - 0 - 0 - - 0 - -43 - 0 - 28 - 112 - 0 - 23 - 0 - -50 - 0 - 0 - 0 - 24 - 0 - -66 - -26 - - -34 - 0 - 20 - 0 - 0 - 0 - 0 - -14 - 0 - 0 - 0 - 0 - 0 - -7 - 0 - 0 - - 0 - -233 - 0 - 182 - 626 - 0 - -131 - 0 - -268 - 0 - 0 - 0 - -118 - 0 - 364 - 150 - - -1106 - 0 - 662 - 0 - 0 - 1878 - 0 - -485 - 0 - 867 - 423 - -1140 - 0 - -239 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - -367 - 0 - 0 - 0 - 0 - 0 - -315 - 0 - 1008 - 421 - - 6098 - 0 - -3668 - 0 - 0 - 10500 - 0 - 2723 - 0 - 4761 - 2331 - -6342 - 0 - 1337 - 0 - 0 - - 0 - 7097 - 0 - -5924 - -19358 - 0 - -4087 - 0 - 8146 - 0 - 0 - 0 - -3456 - 0 - 11184 - 4690 - - 5631 - 0 - -3392 - 0 - 0 - 0 - 0 - 2528 - 0 - 0 - 0 - 0 - 0 - 1240 - 0 - 0 - - 0 - 39367 - 0 - -33010 - -107500 - 0 - 22711 - 0 - 45182 - 0 - 0 - 0 - 19118 - 0 - -62078 - -26064 - - 187462 - 0 - -112972 - 0 - 0 - -324522 - 0 - 84295 - 0 - -146127 - -71637 - 195642 - 0 - 41335 - 0 - 0 - - 0 - 0 - 0 - 0 - 0 - 0 - 63062 - 0 - 0 - 0 - 0 - 0 - 53013 - 0 - -172314 - -72374 hecke_ring_numerators: - - 1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - - 0 - 3579 - 0 - -12686 - 0 - 28832 - 0 - -10680 - 0 - 2960 - 0 - 3136 - 0 - -597 - 0 - 114 - - 2183 - 0 - -441 - 0 - 2688 - 0 - -10072 - 0 - 8232 - 0 - -4704 - 0 - 791 - 0 - -105 - 0 - - 0 - 3893 - 0 - -13719 - 0 - 27200 - 0 - -36424 - 0 - 22888 - 0 - -10112 - 0 - 1637 - 0 - -199 - - 0 - -8927 - 0 - 31481 - 0 - -62432 - 0 - 85144 - 0 - -54248 - 0 - 24512 - 0 - -3983 - 0 - 489 - - 260 - 0 - -2351 - 0 - 6192 - 0 - -9538 - 0 - 6280 - 0 - -2916 - 0 - 476 - 0 - -59 - 0 - - 0 - -590 - 0 - 17619 - 0 - -26432 - 0 - 46256 - 0 - -29336 - 0 - 15104 - 0 - -2478 - 0 - 323 - - 80 - 0 - 63 - 0 - -384 - 0 - 1616 - 0 - -1176 - 0 - 672 - 0 - -112 - 0 - 15 - 0 - - 0 - -10894 - 0 - 38481 - 0 - -79520 - 0 - 83984 - 0 - -50392 - 0 - 19040 - 0 - -3022 - 0 - 337 - - 893 - 0 - -8708 - 0 - 16352 - 0 - -28616 - 0 - 18816 - 0 - -9344 - 0 - 1533 - 0 - -196 - 0 - - -40 - 0 - 441 - 0 - -336 - 0 - 944 - 0 - -600 - 0 - 384 - 0 - -64 - 0 - 9 - 0 - - 8969 - 0 - -80105 - 0 - 221376 - 0 - -335752 - 0 - 221992 - 0 - -100704 - 0 - 16409 - 0 - -2009 - 0 - - 0 - 1565 - 0 - -27681 - 0 - 70112 - 0 - -122696 - 0 - 81992 - 0 - -40064 - 0 - 6573 - 0 - -833 - - -201 - 0 - -336 - 0 - 2048 - 0 - -8336 - 0 - 6272 - 0 - -3584 - 0 - 599 - 0 - -80 - 0 - - 0 - 3635 - 0 - -63867 - 0 - 162848 - 0 - -284984 - 0 - 191096 - 0 - -93056 - 0 - 15267 - 0 - -1931 - - 0 - -3695 - 0 - 71106 - 0 - -165536 - 0 - 289688 - 0 - -192992 - 0 - 94592 - 0 - -15519 - 0 - 1970 hecke_ring_power_basis: false hecke_ring_rank: 16 id: 152849 label: 5184.2.f.e level: 5184 maxp: 2999 weight: 2 - - Nk2: 20736 analytic_conductor: 41.39444840783175 char_conductor: 24 char_degree: 1 char_is_real: true char_orbit_index: 6 char_orbit_label: f char_order: 2 char_parity: 1 char_values: - 5184 - 2 - - 2431 - 325 - 1217 - - 1 - 1 - 1 conrey_index: 2591 cusp_dim: 792 dim: 96 eis_dim: 144 eis_new_dim: 0 hecke_cutter_primes: - 5 - 19 hecke_orbit_code: 343630943296 hecke_orbit_dims: - 16 - 16 - 16 - 16 - 16 - 16 id: 1008768 label: 5184.2.f level: 5184 level_is_prime: false level_is_prime_power: false level_is_square: true level_is_squarefree: false level_primes: - 2 - 3 level_radical: 6 mf_dim: 936 mf_new_dim: 96 num_forms: 6 prim_orbit_index: 6 relative_dim: 96 sturm_bound: 1728 trace_bound: 25 trace_display: - 0 - 0 - 0 - 0 traces: - 96 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 96 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -96 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -96 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -240 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -240 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 96 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 240 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 240 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -96 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 96 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -96 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 288 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 48 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -48 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 192 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -432 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 96 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -192 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -432 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -576 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -288 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 288 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 96 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 288 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 288 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 288 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -384 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 432 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 240 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -288 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 576 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 48 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -384 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 432 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 720 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 864 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -864 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -288 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 576 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -288 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -288 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -240 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -528 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -576 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -672 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -384 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -48 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 336 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 192 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -864 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -480 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 288 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 720 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 288 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -480 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 1152 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -96 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 336 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 576 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 576 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 384 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -288 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 48 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 672 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 720 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -384 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -432 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -1344 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 288 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 576 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 432 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -1296 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 432 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -720 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -384 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 720 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 288 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -1344 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -144 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -48 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -1728 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -1152 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -1440 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 720 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -192 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 960 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -1152 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 288 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 720 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 528 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 1584 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 weight: 2 weight_parity: 1 - - conductor: 4 degree: 1 id: 1246772 multiplicity: 1 order: 2 parity: -1 self_twist_disc: 0 source_char_orbit: 6 source_dim: 16 source_hecke_orbit: 5 source_is_minimal: true source_label: 5184.2.f.e source_level: 5184 target_char_orbit: 6 target_dim: 16 target_hecke_orbit: 2 target_is_minimal: true target_label: 5184.2.f.b target_level: 5184 twist_class_label: 5184.2.f.b twist_class_level: 5184 twisting_char_label: 4.b twisting_char_orbit: 2 weight: 2 - conductor: 8 degree: 1 id: 1246773 multiplicity: 1 order: 2 parity: 1 self_twist_disc: 0 source_char_orbit: 6 source_dim: 16 source_hecke_orbit: 5 source_is_minimal: true source_label: 5184.2.f.e source_level: 5184 target_char_orbit: 6 target_dim: 16 target_hecke_orbit: 2 target_is_minimal: true target_label: 5184.2.f.b target_level: 5184 twist_class_label: 5184.2.f.b twist_class_level: 5184 twisting_char_label: 8.b twisting_char_orbit: 2 weight: 2 - conductor: 12 degree: 1 id: 1246774 multiplicity: 1 order: 2 parity: 1 self_twist_disc: 0 source_char_orbit: 6 source_dim: 16 source_hecke_orbit: 5 source_is_minimal: true source_label: 5184.2.f.e source_level: 5184 target_char_orbit: 6 target_dim: 16 target_hecke_orbit: 2 target_is_minimal: true target_label: 5184.2.f.b target_level: 5184 twist_class_label: 5184.2.f.b twist_class_level: 5184 twisting_char_label: 12.b twisting_char_orbit: 2 weight: 2 - conductor: 24 degree: 1 id: 1246775 multiplicity: 1 order: 2 parity: -1 self_twist_disc: 0 source_char_orbit: 6 source_dim: 16 source_hecke_orbit: 5 source_is_minimal: true source_label: 5184.2.f.e source_level: 5184 target_char_orbit: 6 target_dim: 16 target_hecke_orbit: 2 target_is_minimal: true target_label: 5184.2.f.b target_level: 5184 twist_class_label: 5184.2.f.b twist_class_level: 5184 twisting_char_label: 24.h twisting_char_orbit: 8 weight: 2 - conductor: 1 degree: 1 id: 1246776 multiplicity: 1 order: 1 parity: 1 self_twist_disc: 1 source_char_orbit: 6 source_dim: 16 source_hecke_orbit: 5 source_is_minimal: true source_label: 5184.2.f.e source_level: 5184 target_char_orbit: 6 target_dim: 16 target_hecke_orbit: 5 target_is_minimal: true target_label: 5184.2.f.e target_level: 5184 twist_class_label: 5184.2.f.b twist_class_level: 5184 twisting_char_label: 1.a twisting_char_orbit: 1 weight: 2 - conductor: 3 degree: 1 id: 1246777 multiplicity: 1 order: 2 parity: -1 self_twist_disc: 0 source_char_orbit: 6 source_dim: 16 source_hecke_orbit: 5 source_is_minimal: true source_label: 5184.2.f.e source_level: 5184 target_char_orbit: 6 target_dim: 16 target_hecke_orbit: 5 target_is_minimal: true target_label: 5184.2.f.e target_level: 5184 twist_class_label: 5184.2.f.b twist_class_level: 5184 twisting_char_label: 3.b twisting_char_orbit: 2 weight: 2 - conductor: 8 degree: 1 id: 1246778 multiplicity: 1 order: 2 parity: -1 self_twist_disc: 0 source_char_orbit: 6 source_dim: 16 source_hecke_orbit: 5 source_is_minimal: true source_label: 5184.2.f.e source_level: 5184 target_char_orbit: 6 target_dim: 16 target_hecke_orbit: 5 target_is_minimal: true target_label: 5184.2.f.e target_level: 5184 twist_class_label: 5184.2.f.b twist_class_level: 5184 twisting_char_label: 8.d twisting_char_orbit: 4 weight: 2 - conductor: 24 degree: 1 id: 1246779 multiplicity: 1 order: 2 parity: 1 self_twist_disc: 0 source_char_orbit: 6 source_dim: 16 source_hecke_orbit: 5 source_is_minimal: true source_label: 5184.2.f.e source_level: 5184 target_char_orbit: 6 target_dim: 16 target_hecke_orbit: 5 target_is_minimal: true target_label: 5184.2.f.e target_level: 5184 twist_class_label: 5184.2.f.b twist_class_level: 5184 twisting_char_label: 24.f twisting_char_orbit: 6 weight: 2 - - charpoly_factorization: - - - 0 - 1 - 16 hecke_orbit_code: 18014742140425280 id: 3823500 p: 2 - charpoly_factorization: - - - 0 - 1 - 16 hecke_orbit_code: 18014742140425280 id: 3823501 p: 3 - charpoly_factorization: - - - 9 - 0 - -216 - 0 - 138 - 0 - -24 - 0 - 1 - 2 hecke_orbit_code: 18014742140425280 id: 3823502 p: 5 - charpoly_factorization: - - - 2704 - 0 - 2656 - 0 - 540 - 0 - 40 - 0 - 1 - 2 hecke_orbit_code: 18014742140425280 id: 3823503 p: 7 - charpoly_factorization: - - - 144 - 0 - 720 - 0 - 300 - 0 - 36 - 0 - 1 - 2 hecke_orbit_code: 18014742140425280 id: 3823504 p: 11 - charpoly_factorization: - - - 81 - 0 - 3024 - 0 - 702 - 0 - 48 - 0 - 1 - 2 hecke_orbit_code: 18014742140425280 id: 3823505 p: 13 - charpoly_factorization: - - - 297 - 0 - 36 - 0 - 1 - 4 hecke_orbit_code: 18014742140425280 id: 3823506 p: 17 - charpoly_factorization: - - - 36 - 36 - -6 - -6 - 1 - 4 hecke_orbit_code: 18014742140425280 id: 3823507 p: 19 - charpoly_factorization: - - - 11664 - 0 - -11664 - 0 - 2700 - 0 - -108 - 0 - 1 - 2 hecke_orbit_code: 18014742140425280 id: 3823508 p: 23 - charpoly_factorization: - - - 123201 - 0 - -42768 - 0 - 4482 - 0 - -144 - 0 - 1 - 2 hecke_orbit_code: 18014742140425280 id: 3823509 p: 29 - charpoly_factorization: - - - 135424 - 0 - 97408 - 0 - 6192 - 0 - 136 - 0 - 1 - 2 hecke_orbit_code: 18014742140425280 id: 3823510 p: 31 - charpoly_factorization: - - - 13689 - 0 - 15336 - 0 - 3510 - 0 - 120 - 0 - 1 - 2 hecke_orbit_code: 18014742140425280 id: 3823511 p: 37 - charpoly_factorization: - - - 11664 - 0 - 15552 - 0 - 3672 - 0 - 144 - 0 - 1 - 2 hecke_orbit_code: 18014742140425280 id: 3823512 p: 41 - charpoly_factorization: - - - -1404 - 756 - -90 - -6 - 1 - 4 hecke_orbit_code: 18014742140425280 id: 3823513 p: 43 - charpoly_factorization: - - - 186624 - 0 - -62208 - 0 - 5616 - 0 - -144 - 0 - 1 - 2 hecke_orbit_code: 18014742140425280 id: 3823514 p: 47 - charpoly_factorization: - - - 992016 - 0 - -237312 - 0 - 11640 - 0 - -192 - 0 - 1 - 2 hecke_orbit_code: 18014742140425280 id: 3823515 p: 53 - charpoly_factorization: - - - 186624 - 0 - 248832 - 0 - 15984 - 0 - 288 - 0 - 1 - 2 hecke_orbit_code: 18014742140425280 id: 3823516 p: 59 - charpoly_factorization: - - - 42849 - 0 - 53568 - 0 - 9774 - 0 - 192 - 0 - 1 - 2 hecke_orbit_code: 18014742140425280 id: 3823517 p: 61 - charpoly_factorization: - - - 468 - -828 - 282 - -30 - 1 - 4 hecke_orbit_code: 18014742140425280 id: 3823518 p: 67 - charpoly_factorization: - - - 11664 - 0 - -11664 - 0 - 2700 - 0 - -180 - 0 - 1 - 2 hecke_orbit_code: 18014742140425280 id: 3823519 p: 71 - charpoly_factorization: - - - -347 - 476 - -90 - -4 - 1 - 4 hecke_orbit_code: 18014742140425280 id: 3823520 p: 73 - charpoly_factorization: - - - 1336336 - 0 - 406912 - 0 - 32316 - 0 - 352 - 0 - 1 - 2 hecke_orbit_code: 18014742140425280 id: 3823521 p: 79 - charpoly_factorization: - - - 26378496 - 0 - 2539008 - 0 - 67872 - 0 - 480 - 0 - 1 - 2 hecke_orbit_code: 18014742140425280 id: 3823522 p: 83 - charpoly_factorization: - - - 20820969 - 0 - 2608848 - 0 - 58266 - 0 - 432 - 0 - 1 - 2 hecke_orbit_code: 18014742140425280 id: 3823523 p: 89 - charpoly_factorization: - - - -176 - 352 - -108 - 4 - 1 - 4 hecke_orbit_code: 18014742140425280 id: 3823524 p: 97 - - char_orbit_index: 6 hecke_orbit: 5 id: 208321 label: 5184.2.f.e level: 5184 portrait: data:image/png;base64,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 weight: 2 - - hecke_orbit_code: 18014742140425280 id: 158896000 n: 1 trace_an: 16 - hecke_orbit_code: 18014742140425280 id: 158896001 n: 2 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896002 n: 3 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896003 n: 4 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896004 n: 5 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896005 n: 6 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896006 n: 7 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896007 n: 8 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896008 n: 9 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896009 n: 10 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896010 n: 11 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896011 n: 12 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896012 n: 13 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896013 n: 14 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896014 n: 15 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896015 n: 16 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896016 n: 17 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896017 n: 18 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896018 n: 19 trace_an: 24 - hecke_orbit_code: 18014742140425280 id: 158896019 n: 20 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896020 n: 21 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896021 n: 22 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896022 n: 23 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896023 n: 24 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896024 n: 25 trace_an: 16 - hecke_orbit_code: 18014742140425280 id: 158896025 n: 26 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896026 n: 27 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896027 n: 28 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896028 n: 29 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896029 n: 30 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896030 n: 31 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896031 n: 32 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896032 n: 33 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896033 n: 34 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896034 n: 35 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896035 n: 36 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896036 n: 37 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896037 n: 38 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896038 n: 39 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896039 n: 40 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896040 n: 41 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896041 n: 42 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896042 n: 43 trace_an: 24 - hecke_orbit_code: 18014742140425280 id: 158896043 n: 44 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896044 n: 45 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896045 n: 46 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896046 n: 47 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896047 n: 48 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896048 n: 49 trace_an: -48 - hecke_orbit_code: 18014742140425280 id: 158896049 n: 50 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896050 n: 51 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896051 n: 52 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896052 n: 53 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896053 n: 54 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896054 n: 55 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896055 n: 56 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896056 n: 57 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896057 n: 58 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896058 n: 59 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896059 n: 60 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896060 n: 61 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896061 n: 62 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896062 n: 63 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896063 n: 64 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896064 n: 65 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896065 n: 66 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896066 n: 67 trace_an: 120 - hecke_orbit_code: 18014742140425280 id: 158896067 n: 68 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896068 n: 69 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896069 n: 70 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896070 n: 71 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896071 n: 72 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896072 n: 73 trace_an: 16 - hecke_orbit_code: 18014742140425280 id: 158896073 n: 74 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896074 n: 75 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896075 n: 76 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896076 n: 77 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896077 n: 78 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896078 n: 79 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896079 n: 80 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896080 n: 81 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896081 n: 82 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896082 n: 83 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896083 n: 84 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896084 n: 85 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896085 n: 86 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896086 n: 87 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896087 n: 88 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896088 n: 89 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896089 n: 90 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896090 n: 91 trace_an: 168 - hecke_orbit_code: 18014742140425280 id: 158896091 n: 92 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896092 n: 93 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896093 n: 94 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896094 n: 95 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896095 n: 96 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896096 n: 97 trace_an: -16 - hecke_orbit_code: 18014742140425280 id: 158896097 n: 98 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896098 n: 99 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896099 n: 100 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896100 n: 101 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896101 n: 102 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896102 n: 103 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896103 n: 104 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896104 n: 105 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896105 n: 106 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896106 n: 107 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896107 n: 108 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896108 n: 109 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896109 n: 110 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896110 n: 111 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896111 n: 112 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896112 n: 113 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896113 n: 114 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896114 n: 115 trace_an: 168 - hecke_orbit_code: 18014742140425280 id: 158896115 n: 116 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896116 n: 117 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896117 n: 118 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896118 n: 119 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896119 n: 120 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896120 n: 121 trace_an: 32 - hecke_orbit_code: 18014742140425280 id: 158896121 n: 122 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896122 n: 123 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896123 n: 124 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896124 n: 125 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896125 n: 126 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896126 n: 127 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896127 n: 128 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896128 n: 129 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896129 n: 130 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896130 n: 131 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896131 n: 132 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896132 n: 133 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896133 n: 134 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896134 n: 135 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896135 n: 136 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896136 n: 137 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896137 n: 138 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896138 n: 139 trace_an: 96 - hecke_orbit_code: 18014742140425280 id: 158896139 n: 140 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896140 n: 141 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896141 n: 142 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896142 n: 143 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896143 n: 144 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896144 n: 145 trace_an: -96 - hecke_orbit_code: 18014742140425280 id: 158896145 n: 146 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896146 n: 147 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896147 n: 148 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896148 n: 149 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896149 n: 150 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896150 n: 151 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896151 n: 152 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896152 n: 153 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896153 n: 154 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896154 n: 155 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896155 n: 156 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896156 n: 157 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896157 n: 158 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896158 n: 159 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896159 n: 160 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896160 n: 161 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896161 n: 162 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896162 n: 163 trace_an: 48 - hecke_orbit_code: 18014742140425280 id: 158896163 n: 164 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896164 n: 165 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896165 n: 166 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896166 n: 167 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896167 n: 168 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896168 n: 169 trace_an: 16 - hecke_orbit_code: 18014742140425280 id: 158896169 n: 170 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896170 n: 171 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896171 n: 172 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896172 n: 173 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896173 n: 174 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896174 n: 175 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896175 n: 176 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896176 n: 177 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896177 n: 178 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896178 n: 179 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896179 n: 180 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896180 n: 181 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896181 n: 182 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896182 n: 183 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896183 n: 184 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896184 n: 185 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896185 n: 186 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896186 n: 187 trace_an: 168 - hecke_orbit_code: 18014742140425280 id: 158896187 n: 188 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896188 n: 189 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896189 n: 190 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896190 n: 191 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896191 n: 192 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896192 n: 193 trace_an: 80 - hecke_orbit_code: 18014742140425280 id: 158896193 n: 194 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896194 n: 195 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896195 n: 196 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896196 n: 197 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896197 n: 198 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896198 n: 199 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896199 n: 200 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896200 n: 201 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896201 n: 202 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896202 n: 203 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896203 n: 204 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896204 n: 205 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896205 n: 206 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896206 n: 207 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896207 n: 208 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896208 n: 209 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896209 n: 210 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896210 n: 211 trace_an: 216 - hecke_orbit_code: 18014742140425280 id: 158896211 n: 212 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896212 n: 213 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896213 n: 214 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896214 n: 215 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896215 n: 216 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896216 n: 217 trace_an: 64 - hecke_orbit_code: 18014742140425280 id: 158896217 n: 218 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896218 n: 219 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896219 n: 220 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896220 n: 221 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896221 n: 222 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896222 n: 223 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896223 n: 224 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896224 n: 225 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896225 n: 226 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896226 n: 227 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896227 n: 228 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896228 n: 229 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896229 n: 230 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896230 n: 231 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896231 n: 232 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896232 n: 233 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896233 n: 234 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896234 n: 235 trace_an: 192 - hecke_orbit_code: 18014742140425280 id: 158896235 n: 236 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896236 n: 237 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896237 n: 238 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896238 n: 239 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896239 n: 240 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896240 n: 241 trace_an: -80 - hecke_orbit_code: 18014742140425280 id: 158896241 n: 242 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896242 n: 243 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896243 n: 244 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896244 n: 245 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896245 n: 246 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896246 n: 247 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896247 n: 248 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896248 n: 249 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896249 n: 250 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896250 n: 251 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896251 n: 252 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896252 n: 253 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896253 n: 254 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896254 n: 255 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896255 n: 256 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896256 n: 257 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896257 n: 258 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896258 n: 259 trace_an: 168 - hecke_orbit_code: 18014742140425280 id: 158896259 n: 260 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896260 n: 261 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896261 n: 262 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896262 n: 263 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896263 n: 264 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896264 n: 265 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896265 n: 266 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896266 n: 267 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896267 n: 268 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896268 n: 269 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896269 n: 270 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896270 n: 271 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896271 n: 272 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896272 n: 273 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896273 n: 274 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896274 n: 275 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896275 n: 276 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896276 n: 277 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896277 n: 278 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896278 n: 279 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896279 n: 280 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896280 n: 281 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896281 n: 282 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896282 n: 283 trace_an: 48 - hecke_orbit_code: 18014742140425280 id: 158896283 n: 284 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896284 n: 285 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896285 n: 286 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896286 n: 287 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896287 n: 288 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896288 n: 289 trace_an: -16 - hecke_orbit_code: 18014742140425280 id: 158896289 n: 290 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896290 n: 291 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896291 n: 292 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896292 n: 293 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896293 n: 294 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896294 n: 295 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896295 n: 296 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896296 n: 297 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896297 n: 298 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896298 n: 299 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896299 n: 300 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896300 n: 301 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896301 n: 302 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896302 n: 303 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896303 n: 304 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896304 n: 305 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896305 n: 306 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896306 n: 307 trace_an: 48 - hecke_orbit_code: 18014742140425280 id: 158896307 n: 308 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896308 n: 309 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896309 n: 310 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896310 n: 311 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896311 n: 312 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896312 n: 313 trace_an: -32 - hecke_orbit_code: 18014742140425280 id: 158896313 n: 314 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896314 n: 315 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896315 n: 316 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896316 n: 317 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896317 n: 318 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896318 n: 319 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896319 n: 320 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896320 n: 321 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896321 n: 322 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896322 n: 323 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896323 n: 324 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896324 n: 325 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896325 n: 326 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896326 n: 327 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896327 n: 328 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896328 n: 329 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896329 n: 330 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896330 n: 331 trace_an: 168 - hecke_orbit_code: 18014742140425280 id: 158896331 n: 332 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896332 n: 333 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896333 n: 334 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896334 n: 335 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896335 n: 336 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896336 n: 337 trace_an: 32 - hecke_orbit_code: 18014742140425280 id: 158896337 n: 338 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896338 n: 339 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896339 n: 340 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896340 n: 341 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896341 n: 342 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896342 n: 343 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896343 n: 344 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896344 n: 345 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896345 n: 346 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896346 n: 347 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896347 n: 348 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896348 n: 349 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896349 n: 350 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896350 n: 351 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896351 n: 352 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896352 n: 353 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896353 n: 354 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896354 n: 355 trace_an: 24 - hecke_orbit_code: 18014742140425280 id: 158896355 n: 356 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896356 n: 357 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896357 n: 358 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896358 n: 359 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896359 n: 360 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896360 n: 361 trace_an: -112 - hecke_orbit_code: 18014742140425280 id: 158896361 n: 362 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896362 n: 363 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896363 n: 364 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896364 n: 365 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896365 n: 366 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896366 n: 367 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896367 n: 368 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896368 n: 369 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896369 n: 370 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896370 n: 371 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896371 n: 372 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896372 n: 373 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896373 n: 374 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896374 n: 375 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896375 n: 376 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896376 n: 377 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896377 n: 378 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896378 n: 379 trace_an: 96 - hecke_orbit_code: 18014742140425280 id: 158896379 n: 380 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896380 n: 381 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896381 n: 382 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896382 n: 383 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896383 n: 384 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896384 n: 385 trace_an: 144 - hecke_orbit_code: 18014742140425280 id: 158896385 n: 386 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896386 n: 387 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896387 n: 388 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896388 n: 389 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896389 n: 390 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896390 n: 391 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896391 n: 392 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896392 n: 393 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896393 n: 394 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896394 n: 395 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896395 n: 396 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896396 n: 397 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896397 n: 398 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896398 n: 399 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896399 n: 400 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896400 n: 401 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896401 n: 402 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896402 n: 403 trace_an: -96 - hecke_orbit_code: 18014742140425280 id: 158896403 n: 404 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896404 n: 405 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896405 n: 406 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896406 n: 407 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896407 n: 408 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896408 n: 409 trace_an: 16 - hecke_orbit_code: 18014742140425280 id: 158896409 n: 410 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896410 n: 411 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896411 n: 412 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896412 n: 413 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896413 n: 414 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896414 n: 415 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896415 n: 416 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896416 n: 417 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896417 n: 418 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896418 n: 419 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896419 n: 420 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896420 n: 421 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896421 n: 422 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896422 n: 423 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896423 n: 424 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896424 n: 425 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896425 n: 426 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896426 n: 427 trace_an: 120 - hecke_orbit_code: 18014742140425280 id: 158896427 n: 428 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896428 n: 429 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896429 n: 430 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896430 n: 431 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896431 n: 432 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896432 n: 433 trace_an: 208 - hecke_orbit_code: 18014742140425280 id: 158896433 n: 434 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896434 n: 435 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896435 n: 436 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896436 n: 437 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896437 n: 438 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896438 n: 439 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896439 n: 440 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896440 n: 441 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896441 n: 442 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896442 n: 443 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896443 n: 444 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896444 n: 445 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896445 n: 446 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896446 n: 447 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896447 n: 448 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896448 n: 449 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896449 n: 450 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896450 n: 451 trace_an: 96 - hecke_orbit_code: 18014742140425280 id: 158896451 n: 452 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896452 n: 453 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896453 n: 454 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896454 n: 455 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896455 n: 456 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896456 n: 457 trace_an: -32 - hecke_orbit_code: 18014742140425280 id: 158896457 n: 458 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896458 n: 459 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896459 n: 460 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896460 n: 461 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896461 n: 462 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896462 n: 463 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896463 n: 464 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896464 n: 465 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896465 n: 466 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896466 n: 467 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896467 n: 468 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896468 n: 469 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896469 n: 470 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896470 n: 471 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896471 n: 472 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896472 n: 473 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896473 n: 474 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896474 n: 475 trace_an: -96 - hecke_orbit_code: 18014742140425280 id: 158896475 n: 476 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896476 n: 477 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896477 n: 478 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896478 n: 479 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896479 n: 480 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896480 n: 481 trace_an: -240 - hecke_orbit_code: 18014742140425280 id: 158896481 n: 482 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896482 n: 483 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896483 n: 484 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896484 n: 485 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896485 n: 486 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896486 n: 487 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896487 n: 488 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896488 n: 489 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896489 n: 490 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896490 n: 491 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896491 n: 492 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896492 n: 493 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896493 n: 494 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896494 n: 495 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896495 n: 496 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896496 n: 497 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896497 n: 498 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896498 n: 499 trace_an: 72 - hecke_orbit_code: 18014742140425280 id: 158896499 n: 500 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896500 n: 501 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896501 n: 502 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896502 n: 503 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896503 n: 504 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896504 n: 505 trace_an: -96 - hecke_orbit_code: 18014742140425280 id: 158896505 n: 506 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896506 n: 507 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896507 n: 508 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896508 n: 509 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896509 n: 510 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896510 n: 511 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896511 n: 512 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896512 n: 513 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896513 n: 514 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896514 n: 515 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896515 n: 516 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896516 n: 517 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896517 n: 518 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896518 n: 519 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896519 n: 520 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896520 n: 521 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896521 n: 522 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896522 n: 523 trace_an: -120 - hecke_orbit_code: 18014742140425280 id: 158896523 n: 524 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896524 n: 525 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896525 n: 526 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896526 n: 527 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896527 n: 528 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896528 n: 529 trace_an: 64 - hecke_orbit_code: 18014742140425280 id: 158896529 n: 530 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896530 n: 531 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896531 n: 532 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896532 n: 533 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896533 n: 534 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896534 n: 535 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896535 n: 536 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896536 n: 537 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896537 n: 538 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896538 n: 539 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896539 n: 540 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896540 n: 541 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896541 n: 542 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896542 n: 543 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896543 n: 544 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896544 n: 545 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896545 n: 546 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896546 n: 547 trace_an: -48 - hecke_orbit_code: 18014742140425280 id: 158896547 n: 548 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896548 n: 549 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896549 n: 550 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896550 n: 551 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896551 n: 552 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896552 n: 553 trace_an: -32 - hecke_orbit_code: 18014742140425280 id: 158896553 n: 554 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896554 n: 555 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896555 n: 556 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896556 n: 557 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896557 n: 558 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896558 n: 559 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896559 n: 560 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896560 n: 561 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896561 n: 562 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896562 n: 563 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896563 n: 564 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896564 n: 565 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896565 n: 566 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896566 n: 567 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896567 n: 568 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896568 n: 569 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896569 n: 570 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896570 n: 571 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896571 n: 572 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896572 n: 573 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896573 n: 574 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896574 n: 575 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896575 n: 576 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896576 n: 577 trace_an: 16 - hecke_orbit_code: 18014742140425280 id: 158896577 n: 578 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896578 n: 579 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896579 n: 580 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896580 n: 581 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896581 n: 582 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896582 n: 583 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896583 n: 584 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896584 n: 585 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896585 n: 586 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896586 n: 587 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896587 n: 588 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896588 n: 589 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896589 n: 590 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896590 n: 591 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896591 n: 592 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896592 n: 593 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896593 n: 594 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896594 n: 595 trace_an: 24 - hecke_orbit_code: 18014742140425280 id: 158896595 n: 596 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896596 n: 597 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896597 n: 598 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896598 n: 599 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896599 n: 600 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896600 n: 601 trace_an: 128 - hecke_orbit_code: 18014742140425280 id: 158896601 n: 602 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896602 n: 603 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896603 n: 604 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896604 n: 605 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896605 n: 606 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896606 n: 607 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896607 n: 608 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896608 n: 609 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896609 n: 610 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896610 n: 611 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896611 n: 612 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896612 n: 613 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896613 n: 614 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896614 n: 615 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896615 n: 616 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896616 n: 617 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896617 n: 618 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896618 n: 619 trace_an: -48 - hecke_orbit_code: 18014742140425280 id: 158896619 n: 620 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896620 n: 621 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896621 n: 622 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896622 n: 623 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896623 n: 624 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896624 n: 625 trace_an: 160 - hecke_orbit_code: 18014742140425280 id: 158896625 n: 626 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896626 n: 627 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896627 n: 628 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896628 n: 629 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896629 n: 630 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896630 n: 631 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896631 n: 632 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896632 n: 633 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896633 n: 634 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896634 n: 635 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896635 n: 636 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896636 n: 637 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896637 n: 638 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896638 n: 639 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896639 n: 640 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896640 n: 641 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896641 n: 642 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896642 n: 643 trace_an: -336 - hecke_orbit_code: 18014742140425280 id: 158896643 n: 644 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896644 n: 645 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896645 n: 646 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896646 n: 647 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896647 n: 648 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896648 n: 649 trace_an: 240 - hecke_orbit_code: 18014742140425280 id: 158896649 n: 650 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896650 n: 651 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896651 n: 652 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896652 n: 653 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896653 n: 654 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896654 n: 655 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896655 n: 656 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896656 n: 657 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896657 n: 658 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896658 n: 659 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896659 n: 660 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896660 n: 661 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896661 n: 662 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896662 n: 663 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896663 n: 664 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896664 n: 665 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896665 n: 666 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896666 n: 667 trace_an: -72 - hecke_orbit_code: 18014742140425280 id: 158896667 n: 668 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896668 n: 669 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896669 n: 670 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896670 n: 671 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896671 n: 672 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896672 n: 673 trace_an: -224 - hecke_orbit_code: 18014742140425280 id: 158896673 n: 674 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896674 n: 675 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896675 n: 676 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896676 n: 677 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896677 n: 678 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896678 n: 679 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896679 n: 680 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896680 n: 681 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896681 n: 682 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896682 n: 683 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896683 n: 684 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896684 n: 685 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896685 n: 686 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896686 n: 687 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896687 n: 688 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896688 n: 689 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896689 n: 690 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896690 n: 691 trace_an: -24 - hecke_orbit_code: 18014742140425280 id: 158896691 n: 692 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896692 n: 693 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896693 n: 694 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896694 n: 695 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896695 n: 696 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896696 n: 697 trace_an: -288 - hecke_orbit_code: 18014742140425280 id: 158896697 n: 698 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896698 n: 699 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896699 n: 700 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896700 n: 701 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896701 n: 702 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896702 n: 703 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896703 n: 704 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896704 n: 705 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896705 n: 706 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896706 n: 707 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896707 n: 708 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896708 n: 709 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896709 n: 710 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896710 n: 711 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896711 n: 712 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896712 n: 713 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896713 n: 714 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896714 n: 715 trace_an: -120 - hecke_orbit_code: 18014742140425280 id: 158896715 n: 716 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896716 n: 717 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896717 n: 718 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896718 n: 719 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896719 n: 720 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896720 n: 721 trace_an: 16 - hecke_orbit_code: 18014742140425280 id: 158896721 n: 722 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896722 n: 723 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896723 n: 724 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896724 n: 725 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896725 n: 726 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896726 n: 727 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896727 n: 728 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896728 n: 729 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896729 n: 730 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896730 n: 731 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896731 n: 732 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896732 n: 733 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896733 n: 734 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896734 n: 735 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896735 n: 736 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896736 n: 737 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896737 n: 738 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896738 n: 739 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896739 n: 740 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896740 n: 741 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896741 n: 742 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896742 n: 743 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896743 n: 744 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896744 n: 745 trace_an: -144 - hecke_orbit_code: 18014742140425280 id: 158896745 n: 746 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896746 n: 747 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896747 n: 748 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896748 n: 749 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896749 n: 750 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896750 n: 751 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896751 n: 752 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896752 n: 753 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896753 n: 754 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896754 n: 755 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896755 n: 756 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896756 n: 757 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896757 n: 758 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896758 n: 759 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896759 n: 760 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896760 n: 761 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896761 n: 762 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896762 n: 763 trace_an: -264 - hecke_orbit_code: 18014742140425280 id: 158896763 n: 764 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896764 n: 765 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896765 n: 766 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896766 n: 767 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896767 n: 768 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896768 n: 769 trace_an: 128 - hecke_orbit_code: 18014742140425280 id: 158896769 n: 770 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896770 n: 771 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896771 n: 772 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896772 n: 773 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896773 n: 774 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896774 n: 775 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896775 n: 776 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896776 n: 777 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896777 n: 778 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896778 n: 779 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896779 n: 780 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896780 n: 781 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896781 n: 782 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896782 n: 783 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896783 n: 784 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896784 n: 785 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896785 n: 786 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896786 n: 787 trace_an: -216 - hecke_orbit_code: 18014742140425280 id: 158896787 n: 788 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896788 n: 789 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896789 n: 790 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896790 n: 791 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896791 n: 792 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896792 n: 793 trace_an: -192 - hecke_orbit_code: 18014742140425280 id: 158896793 n: 794 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896794 n: 795 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896795 n: 796 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896796 n: 797 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896797 n: 798 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896798 n: 799 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896799 n: 800 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896800 n: 801 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896801 n: 802 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896802 n: 803 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896803 n: 804 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896804 n: 805 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896805 n: 806 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896806 n: 807 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896807 n: 808 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896808 n: 809 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896809 n: 810 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896810 n: 811 trace_an: -384 - hecke_orbit_code: 18014742140425280 id: 158896811 n: 812 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896812 n: 813 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896813 n: 814 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896814 n: 815 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896815 n: 816 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896816 n: 817 trace_an: 288 - hecke_orbit_code: 18014742140425280 id: 158896817 n: 818 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896818 n: 819 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896819 n: 820 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896820 n: 821 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896821 n: 822 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896822 n: 823 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896823 n: 824 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896824 n: 825 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896825 n: 826 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896826 n: 827 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896827 n: 828 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896828 n: 829 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896829 n: 830 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896830 n: 831 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896831 n: 832 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896832 n: 833 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896833 n: 834 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896834 n: 835 trace_an: -72 - hecke_orbit_code: 18014742140425280 id: 158896835 n: 836 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896836 n: 837 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896837 n: 838 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896838 n: 839 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896839 n: 840 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896840 n: 841 trace_an: 112 - hecke_orbit_code: 18014742140425280 id: 158896841 n: 842 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896842 n: 843 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896843 n: 844 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896844 n: 845 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896845 n: 846 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896846 n: 847 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896847 n: 848 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896848 n: 849 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896849 n: 850 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896850 n: 851 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896851 n: 852 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896852 n: 853 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896853 n: 854 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896854 n: 855 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896855 n: 856 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896856 n: 857 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896857 n: 858 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896858 n: 859 trace_an: -48 - hecke_orbit_code: 18014742140425280 id: 158896859 n: 860 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896860 n: 861 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896861 n: 862 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896862 n: 863 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896863 n: 864 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896864 n: 865 trace_an: -144 - hecke_orbit_code: 18014742140425280 id: 158896865 n: 866 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896866 n: 867 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896867 n: 868 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896868 n: 869 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896869 n: 870 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896870 n: 871 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896871 n: 872 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896872 n: 873 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896873 n: 874 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896874 n: 875 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896875 n: 876 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896876 n: 877 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896877 n: 878 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896878 n: 879 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896879 n: 880 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896880 n: 881 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896881 n: 882 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896882 n: 883 trace_an: -288 - hecke_orbit_code: 18014742140425280 id: 158896883 n: 884 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896884 n: 885 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896885 n: 886 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896886 n: 887 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896887 n: 888 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896888 n: 889 trace_an: -304 - hecke_orbit_code: 18014742140425280 id: 158896889 n: 890 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896890 n: 891 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896891 n: 892 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896892 n: 893 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896893 n: 894 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896894 n: 895 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896895 n: 896 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896896 n: 897 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896897 n: 898 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896898 n: 899 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896899 n: 900 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896900 n: 901 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896901 n: 902 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896902 n: 903 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896903 n: 904 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896904 n: 905 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896905 n: 906 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896906 n: 907 trace_an: -192 - hecke_orbit_code: 18014742140425280 id: 158896907 n: 908 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896908 n: 909 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896909 n: 910 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896910 n: 911 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896911 n: 912 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896912 n: 913 trace_an: -48 - hecke_orbit_code: 18014742140425280 id: 158896913 n: 914 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896914 n: 915 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896915 n: 916 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896916 n: 917 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896917 n: 918 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896918 n: 919 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896919 n: 920 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896920 n: 921 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896921 n: 922 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896922 n: 923 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896923 n: 924 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896924 n: 925 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896925 n: 926 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896926 n: 927 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896927 n: 928 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896928 n: 929 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896929 n: 930 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896930 n: 931 trace_an: -24 - hecke_orbit_code: 18014742140425280 id: 158896931 n: 932 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896932 n: 933 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896933 n: 934 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896934 n: 935 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896935 n: 936 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896936 n: 937 trace_an: -80 - hecke_orbit_code: 18014742140425280 id: 158896937 n: 938 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896938 n: 939 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896939 n: 940 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896940 n: 941 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896941 n: 942 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896942 n: 943 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896943 n: 944 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896944 n: 945 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896945 n: 946 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896946 n: 947 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896947 n: 948 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896948 n: 949 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896949 n: 950 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896950 n: 951 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896951 n: 952 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896952 n: 953 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896953 n: 954 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896954 n: 955 trace_an: -504 - hecke_orbit_code: 18014742140425280 id: 158896955 n: 956 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896956 n: 957 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896957 n: 958 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896958 n: 959 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896959 n: 960 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896960 n: 961 trace_an: -48 - hecke_orbit_code: 18014742140425280 id: 158896961 n: 962 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896962 n: 963 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896963 n: 964 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896964 n: 965 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896965 n: 966 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896966 n: 967 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896967 n: 968 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896968 n: 969 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896969 n: 970 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896970 n: 971 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896971 n: 972 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896972 n: 973 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896973 n: 974 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896974 n: 975 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896975 n: 976 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896976 n: 977 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896977 n: 978 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896978 n: 979 trace_an: -312 - hecke_orbit_code: 18014742140425280 id: 158896979 n: 980 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896980 n: 981 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896981 n: 982 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896982 n: 983 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896983 n: 984 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896984 n: 985 trace_an: 240 - hecke_orbit_code: 18014742140425280 id: 158896985 n: 986 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896986 n: 987 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896987 n: 988 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896988 n: 989 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896989 n: 990 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896990 n: 991 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896991 n: 992 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896992 n: 993 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896993 n: 994 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896994 n: 995 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896995 n: 996 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896996 n: 997 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896997 n: 998 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896998 n: 999 trace_an: 0 - hecke_orbit_code: 18014742140425280 id: 158896999 n: 1000 trace_an: 0 label_cols: - label - label - label - source_label - hecke_orbit_code - label - hecke_orbit_code labels: - 5184.2.f.e - 5184.2.f.e - 5184.2.f - 5184.2.f.e - 18014742140425280 - 5184.2.f.e - 18014742140425280 tables: - mf_newforms - mf_hecke_nf - mf_newspaces - mf_twists_nf - mf_hecke_charpolys - mf_newform_portraits - mf_hecke_traces timestamp: '2024-04-25T08:28:42.167199'